Anlık Bildirim

Hayır, Intel batmıyor: Gelecek Intel için güçlü görünüyor ve 18A süreci ilerliyor

Intel için bugünler pek de iyi geçmiyor olsa da firmanın gelecek planları rayında ilerliyor. Intel, kısa süre önce yaptığı açıklamada 2025 için 1,8nm sınıfı 18A süreci hakkında bilgiler verdi.
Hayır, Intel batmıyor: Gelecek Intel için neden güçlü görünüyor? Tam Boyutta Gör
Intel için bugünlerin, hatta son yılların pek de iyi geçmediği yadsınamaz bir gerçek. Firmanın başarısız performansı neticesinde yıl başından bu yana hisseleri yüzde 58 oranında düşmüş durumda. Son beş yıldaki düşüş ise yüzde 56. Ancak Intel, çok büyük bir yapılanma sürecinde ve son yapılan güncellemeye göre Intel Foundry, yani firmanın yarı iletken üretim kanadında -ki yatırımlar buraya yapılıyor- işler yolunda ilerliyor. Bu da firmanın geleceğinin güvenli olduğuna işaret ediyor.

Panther Lake ve Clearwater Forest için işler yolunda 

Intel, blog gönderisinde tüketici işlemcileri Panther Lake ve sunucu işlemcileri Clearwater Forest için kullanılacak olan gelişmiş üretim süreci Intel 18A (1.8nm sınıfı) ile oldukça önemli bilgiler paylaştı. Yapılan açıklamaya göre şirketin süreç tasarım kiti (PDK) v1.0 hazır hale geldi. Bunun anlamı şu; üçüncü taraf müşteriler bu üretim sürecinde kendi işlemcilerini geliştirmeye başlayabilir.

Hayır, Intel batmıyor: Gelecek Intel için neden güçlü görünüyor? Tam Boyutta Gör
Daha da önemlisi Intel, 18A süreciyle işlenen Panther Lake ve Clearwater Forest işlemcilerinin halihazırda üretilip çalıştırıldığını, şirket içinde kullanıldıklarını, ürün yeterlilik kıstaslarını karşıladıklarını ve iyi performans gösterdiklerini söylüyor. Peki bu ne anlama geliyor? Intel’in 2024’ün ortasında gelecek nesil ürünlerini şimdiden test etmeye başlaması ve ürünler hakkında güvence vermesi, 2025 için Panther Lake ve Clearwater Forest işlemcilerinin seri üretim yolunda güçlü adımlarla ilerlediği anlamına geliyor.
Hayır, Intel batmıyor: Gelecek Intel için neden güçlü görünüyor? Tam Boyutta Gör
Dahası Intel, 18A işlem sürecini kullanan ilk müşteri tasarımını da 2025’in ilk tamamlamayı beklediğini söyledi. Eğer bir gecikme olmazsa yılın ikinci yarısı için veya 2026 için seri üretim mümkün görünüyor. Bununla birlikte Intel, Panther Lake ve Clearwater Forest için üretimin 2025 yılında başlayacağının altını çiziyor.

Bilmeyenler için Panther Lake işlemcileri Core Ultra 300 serisi olarak gelecek, Core Ultra 200 serisi "Arrow Lake" işlemciler bu yılın sonlarına doğru ve Core Ultra 200V serisi "Lunar Lake" serisi de kısa bir süre sonra piyasaya sürülecek. Panther Lake 16'ya kadar çekirdek ve 12'ye kadar Xe3-GPU çekirdeğine sahip olacak. Bu mimari anlaşılacağı üzere dizüstü ve mobil cihazları hedefliyor.

Intel 18A firma için çok önemli

Hayır, Intel batmıyor: Gelecek Intel için neden güçlü görünüyor? Tam Boyutta Gör
Intel’in 18A süreci şirketin 20A'dan sonra RibbonFET transistörleri ve PowerVia adı verilen arka taraf güç dağıtımını (özellikle çok fazla güce ihtiyaç duyan veri merkezi sınıfı ürünler için çok önemlidir) kullanan ikinci üretim teknolojisi olacak. 2nm sınıfı üretim süreciyle karşılaştırıldığında 18A, optimize edilmiş RibbonFET tasarımı ve geliştirmelerle watt başına performansta yüzde 10 iyileşme vaat ediyor.

Tüm bunlar Intel Foundry'nin dökümhane müşterileri için hem RibbonFET GAA transistörlerini hem de PowerVia arka taraf güç teknolojisini başarıyla uygulayan ilk şirket olduğunu gösteriyor. Intel, 2025 yılında 18A ile birlikte yeniden süreç liderliğine geri dönmeyi amaçlıyor. Ek olarak firmanın yeni nesil çip üretim makineleri (High-NA EUV) özelinde de önceliğe sahip olduğunu unutmamak gerek.

İşletim sistemlerini ek yapılandırmalar veya değişiklikler olmadan başarıyla önyükleyen Panther Lake ayrıca DDR bellek performansında da hedef frekansa ulaşmış durumda. Gelecek yılın CPU ve yapay zeka çiplerinin arketipi olan Clearwater Forest, daha yüksek yoğunluk ve enerji kullanımı için RibbonFET, PowerVia ve Foveros Direct 3D'yi bir araya getiren sektörün ilk seri üretim, yüksek performanslı çözümü olarak karşımıza çıkacak. Elbette tüm bunlar Intel’in kendi söylemleri ışığında saf ettiğimiz cümleler.

Firma, herhangi bir gecikme yaşamazsa ve planlarını uygularsa hem kendi işlemcilerinde hem de diğer şirketlerin platformlarının üretiminde dikey entegrasyonu uygulayabilen bir seçenek haline gelecek. Intel, TSMC ve Samsung’a kaybettiği koltuğunu önümüzdeki yıllarda geri almak ve hatta rakibi AMD için bile üretim yapacak konuma gelmek istiyor. TSMC demişken firma, 2025 yılında N2 üretim (2nm sınıfı) sürecinin seri üretimine başlayacak. Intel ise 18A (1.8nm sınıfı) 2025’in ikinci yarısında hacimli üretimi hedefliyor.

Kaynakça https://www.tweaktown.com/news/99760/intels-future-gen-core-ultra-300-series-panther-lake-powered-on-track-for-2025/index.html https://www.intel.com/content/www/us/en/newsroom/news/intel-foundry-achieves-major-milestones.html?cid=iosm&source=twitter&campid=newsroom_posts&content=100006082515944&icid=gcg-transformation-campaign&linkId=100000278624370#gs.d9vz6k Bu haberi, mobil uygulamamızı kullanarak indirip,
istediğiniz zaman (çevrim dışı bile) okuyabilirsiniz:
DH Android Uygulamasını İndir DH iOS Uygulamasını İndir
Önceki Haftalar
Tüm Zamanların En İyi Yorumcuları
ANLIK GÖRÜNTÜLEMELER
1 Kişi Okuyor (0 Üye, 1 Misafir) 1 Masaüstü

GENEL İSTATİSTİKLER
4101 kez okundu.
18 kişi, toplam 20 yorum yazdı.

HABERİN ETİKETLERİ
intel, intel foundry ve
Sorgu:

Editörün Seçtiği Sıcak Fırsatlar

Sıcak Fırsatlar Forumunda Tıklananlar

Tavsiyelerimiz

Yeni Haber
şimdi
Geri Bildirim